z/OS Language Environment Customization
Previous topic | Next topic | Contents | Contact z/OS | Library | PDF


DEPTHCONDLMT

z/OS Language Environment Customization
SA38-0685-00

Derivation: DEPTH of nested CONDition LiMiT

DEPTHCONDLMT specifies the extent to which conditions can be nested. Figure 1 illustrates the effect of DEPTHCONDLMT(3) on condition handling. The initial condition and two nested conditions are handled in this example. The third nested condition is not handled.

Figure 1. Effect of DEPTHCONDLMT(3) on condition handling
DEPTHCONDLMT(3) handles the first two nested condition, but not the third one.
Non-CICS default
DEPTHCONDLMT=((10),OVR)
CICS® default
DEPTHCONDLMT=((10),OVR)
Read syntax diagramSkip visual syntax diagram
Syntax

                                       .-OVR----.      
>>-DEPTHCONDLMT--=--(--(--limit--)--,--+-NONOVR-+--)-----------><

limit
An integer of 0 or greater value. It is the depth of condition handling allowed. An unlimited depth of condition handling is allowed if you specify 0. A 1 value specifies handling of the initial condition, but does not allow handling of nested conditions that occur while handling a condition. With a 5 value, for example, the initial condition and four nested conditions are processed, but there can be no further nesting of conditions.

If the number of nested conditions exceeds the limit, the application terminates with abend U4087.

OVR
Specifies that the option can be overridden.
NONOVR
Specifies that the option cannot be overridden.

z/OS® UNIX consideration

The DEPTHCONDLMT option sets the limit for how many nested synchronous conditions are allowed for a thread. Asynchronous signals do not affect DEPTHCONDLMT.

Usage notes

PL/I considerations
DEPTHCONDLMT(0) provides PL/I compatibility.
PL/I MTF considerations
In a PL/I MTF application, DEPTHCONDLMT sets the limit for how many nested synchronous conditions are allowed for a PL/I task. If the number of nested conditions exceeds the limit, the application terminates abnormally.

For more information

For more information about nested conditions, see z/OS Language Environment Programming Guide.

Go to the previous page Go to the next page




Copyright IBM Corporation 1990, 2014