vec_or

Purpose

Performs a bitwise OR of the given vectors.

Syntax

d=vec_or(a, b)

Result and argument types

The following table describes the types of the returned value and the function arguments.

d a b
vector bool char vector bool char vector bool char
vector signed char vector bool char vector signed char
vector signed char vector signed char
vector bool char
vector unsigned char vector bool char vector unsigned char
vector unsigned char vector unsigned char
vector bool char
vector bool short vector bool short vector vector bool short
vector signed short vector bool short vector signed short
vector signed short vector signed short
vector bool short
vector unsigned short vector bool short vector unsigned short
vector unsigned short vector unsigned short
vector bool short
vector bool int vector bool int vector bool int
vector signed int vector bool int vector signed int
vector signed int vector signed int
vector bool int
vector unsigned int vector bool int vector unsigned int
vector unsigned int vector unsigned int
vector bool int
vector bool long long vector bool long long vector bool long long
vector signed long long vector bool long long vector signed long long
vector signed long long vector signed long long
vector bool long long
vector unsigned long long vector bool long long vector unsigned long long
vector unsigned long long vector unsigned long long
vector bool long long
vector float vector bool int vector float
vector float vector bool int
vector float
vector double vector bool long long vector double
vector double vector bool long long
vector double

Result value

The result is the bitwise OR of a and b.



Voice your opinion on getting help information Ask IBM compiler experts a technical question in the IBM XL compilers forum Reach out to us