vec_ctul: Vector Convert to unsigned long long

d = vec_ctul(a, b)

Multiplies each element in a by 2 to the power of b and rounds the result toward 0 into an unsigned integer.

Table 1. Vector Convert to unsigned long long
d a b
vector signed long long vector double 0 - 31